2019.8.2
前へ
次へ
ホームページトップへ戻る

[新連載]CPLD入門!
〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜
いつか使うことになるだろうと思ってはいたのですが。
何を今頃になって、というようなものですが。
ようやく本気で、CPLDと四つに取り組みます。
〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜〜



[第97回]


●Programmerでエラーになったとき

前回書き漏らしましたが、USB Blasterをターゲットボードに接続してプログラムを書き込み中にエラーが発生してそこで途中終了してしまうことがあります。
ターゲットボードに+5Vを供給しないで書き込みを行なうと必ずエラーになります。
このときは+5Vを供給してやり直してください。
一度エラーが発生すると、一旦USB BlasterをターゲットボードからとWindowsパソコンからも外したのち、もう一度差しなおさないと再びエラーになってしまうことがあります。
正常に書き込みが終了したあとでも、USB Blasterを接続したままにしておくと、その状態で(プログラムを直したりしたあとで)再書き込みすると、どういうわけか途中まで進んだあと(70〜80%ぐらい進んでから)、エラーになってしまうことがあります。
よくわかりませんが、USB Blasterは書き込みを終ったら、ターゲットボードからもWindowsパソコンからもこまめに外しておいたほうがよいようです。
上記のようなエラーが発生したときは、一旦ターゲートボードの電源を切って、USB BlasterをターゲットボードからもWindowsパソコンからも外して、QuartusUのProgrammerも終了して、数秒置いてから、再びターゲットボードの電源を入れて、USB Blasterを接続し、Programmerを再起動して再度書き込みを行なってみてください。

●テスト中の写真です

前回はCPLDトレーニングボードにテストプログラムtrngsmpl1を書き込みました。
今回は書き込んだあとのテスト中の写真をお見せします。
電源を入れると、A、B、C各8個のLEDが全点灯します。


プッシュスイッチAを押しました。

押している間、LEDのAが8個とも消灯します。

プッシュスイッチBを押しました。

押している間、LEDのBが8個とも消灯します。

プッシュスイッチCを押しました。

押している間、LEDのCが8個とも消灯します。

CPLD入門![第97回]
2019.8.2upload

前へ
次へ
ホームページトップへ戻る